+overwrite Overwrite existing config file of same name -ovm Turn on support for the OVM library -ovmhome
+partialdesign Allow elaboration of partially-defined design +pathpulse Set pulse limits according to PATHPULSE$ -pathtran Kill pathdelays touching multiple tran gates +plimapfile= Specify VPI and/or PLI mapping file(s)
+plinooptwarn Suppress PLI messages caused by limited access +plinowarn Do not print PLI warning and error messages -precompiled_headers
+profile_sc+
+propssc_ext+
+pulse_r/ Set percentage of delay for pulse error limit -purecov Instrument ncsim code with purecov -purecovelab Instrument ncelab with purecov -purify Instrument ncsim code with purify -purifyelab Instrument ncelab with purify
-Q Quiet mode, with banner and command line
-q Suppress informational messages(i.e., Quiet mode) -quantify Instrument ncsim code with quantify -quantifyelab Instrument ncelab with quantify
-R Simulate using last snapshot generated -r
-races Run zero-delay race condition checks
-read_lib Enable DFT, scanchain check using *.lib synthesis -read_tlf Enable DFT, scanchain check using *.tlf synthesis +rmkeyword+
-rules_list Print all the rules present in HAL
-s Load snapshot and go to the interactive prompt
-sa_force_list Provide the input file to force the modules -sc_main Run with an sc_main entry point +scAllowSCFromPLI Allow SystemC from PLI
-scautoshell SYSTEMC|HDL|VERILOG|VHDL Automatically generate shell modules +scConvertWarn Turn on X/Z -> 0 data conversion warnings
+scDisableDynamicHierarchy Disable Dynamic Hierarchy in designs with SystemC +scgafap+ Either +on +off or +auto
+scNoDestructorsInElab Do not run desctructors during elaboration
+sctop+
+sdf_specpp Use PATHPULSE parameters in specify block -seed
+show_cancelled_e Filter canceled events (negative pulses) to e +show_forces Turn on support for force -show
-showtoptype Show the type of the top level design unit
+simvisargs+
+snheaderargs+
-spice_ext
-stats Print counts of the error/warning messages issued +sv Force SystemVerilog compilation +sv_lib=
+sv_root+
+svperf+
-svpptestcase
+svrnc=
+systemc_args+ List of arguments to sc_main
+systemverilog_ext+
-timeunit_case Prints time units from std.textio in upper case -topic
-urm Add search directories needed by the URM library -urmhome
+v1995 Turn off new Verilog-2001 keywords
-verbose Verbose messages when compiling C or running svpp +verilog1995ext+
-vhdltop
-w Disable printing of all warning messages -warnmax Maximum warnings reported by halsynth
-Wcc, Pass user specified arguments to the C compiler -Wcxx, Pass user specified arguments to C++ compiler -Wld, Pass user specified arguments to C++ linker +work+
-Wv, Pass user specified arguments to compiler -y
Example commands to invoke ncverilog ncverilog sio85.v
ncverilog xor.v bot.vhd xor_verify.e ncverilog -f run.f
ncverilog a.v b.v c.vhd -c
ncverilog -R -input commands.tcl ncverilog -helpsubject specman
百度搜索“70edu”或“70教育网”即可找到本站免费阅读全部范文。收藏本站方便下次阅读,70教育网,提供经典综合文库linux系统下ncverilog的详细命令(3)在线全文阅读。
相关推荐: